Lam Research Patent Applications

COBALT ETCH BACK

Granted: April 12, 2018
Application Number: 20180102236
Methods of etching cobalt on substrates are provided. Some methods involve exposing the substrate to a boron-containing halide gas and an additive, and exposing the substrate to an activation gas and a plasma. Additives improve selectively depositing a thicker layer of a boron-containing halide material on a surface of a mask than on a surface of a metal. Additives include H2, CH4, CF4, NF3, and Cl2. Boron-containing halide gases include BCl3, BBr3, BF3, and Bl3. Exposures may be…

METHODS AND APPARATUSES FOR CONTROLLING TRANSITIONS BETWEEN CONTINUOUS WAVE AND PULSING PLASMAS

Granted: November 16, 2017
Application Number: 20170330764
Provided are methods and apparatuses for smoothly transitioning from a first plasma condition to a second plasma condition in a plasma processing chamber. An apparatus for plasma processing may be equipped with an RF power supply coupled to an impedance matching network to smoothly switch from a continuous wave (CW) plasma to a pulsing plasma, reversely, or in alternation without quenching the plasma. Or, the plasma processing chamber may be equipped to smoothly switch from a pulsing…

SUBSTRATE PEDESTAL MODULE INCLUDING BACKSIDE GAS DELIVERY TUBE AND METHOD OF MAKING

Granted: November 9, 2017
Application Number: 20170321324
A semiconductor substrate processing apparatus includes a vacuum chamber having a processing zone in which a semiconductor substrate may be processed, a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber, a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber, and a substrate pedestal module. The substrate pedestal module includes a platen made of…

Detection System for Tunable/Replaceable Edge Coupling Ring

Granted: September 14, 2017
Application Number: 20170263478
A substrate processing system includes a processing chamber. A pedestal is arranged in the processing chamber. An edge coupling ring is arranged adjacent to the pedestal and around a radially outer edge of the substrate. An actuator is configured to selectively move the edge coupling ring relative to the substrate to alter an edge coupling profile of the edge coupling ring. The substrate processing system includes a camera-based detection system that instructs the actuator to adjust a…

ION TO NEUTRAL CONTROL FOR WAFER PROCESSING WITH DUAL PLASMA SOURCE REACTOR

Granted: July 27, 2017
Application Number: 20170213747
The disclosed techniques relate to methods and apparatus for etching a substrate. A plate assembly divides a reaction chamber into a lower and upper sub-chamber. The plate assembly includes an upper and lower plate having apertures therethrough. When the apertures in the upper and lower plates are aligned, ions and neutral species may travel through the plate assembly into the lower sub-chamber. When the apertures are not aligned, ions are prevented from passing through the assembly…

ACTUATOR TO DYNAMICALLY ADJUST SHOWERHEAD TILT IN A SEMICONDUCTOR PROCESSING APPARATUS

Granted: July 6, 2017
Application Number: 20170191160
A showerhead module adjustment mechanism is provided which supports a showerhead module in a top plate of a semiconductor substrate processing apparatus, the showerhead module adjustment mechanism being dynamically operable to adjust a planarization of a faceplate of the showerhead module with respect to an upper surface of a substrate pedestal module adjacent the faceplate in the semiconductor substrate processing apparatus.

SELF LIMITING LATERAL ATOMIC LAYER ETCH

Granted: June 22, 2017
Application Number: 20170178917
Methods of and apparatuses for laterally etching semiconductor substrates using an atomic layer etch process involving exposing an oxidized surface of a semiconductor substrate to a fluorine-containing etch gas and heating the substrate to remove non-volatile etch byproducts by a sublimation mechanism are provided herein. Methods also including additionally pulsing a hydrogen-containing gas when pulsing the fluorine-containing etch gas. Apparatuses also include an ammonia mixing manifold…

VARIABLE TEMPERATURE HARDWARE AND METHODS FOR REDUCTION OF WAFER BACKSIDE DEPOSITION

Granted: June 22, 2017
Application Number: 20170178898
A process tuning kit for use in a chemical deposition apparatus wherein the process tuning kit includes a carrier ring, horseshoes and shims. The horseshoes have the same dimensions and the shims are provided in sets with different thicknesses to control the height of the horseshoes with respect to an upper surface of a pedestal assembly on which the horseshoes and shims are mounted. A semiconductor substrate is transported into a vacuum chamber of the chemical deposition apparatus by…

SHOWERHEAD ASSEMBLY

Granted: June 15, 2017
Application Number: 20170167024
A face plate of a showerhead assembly of a deposition apparatus in which semiconductor substrates are processed includes gas holes arranged in an asymmetric pattern with a hole density which is substantially uniform or varies across the face plate. The face plate can include a lower wall and an outer wall extending vertically upwardly from an outer periphery of the lower wall. The outer wall is sealed to an outer periphery of a back plate such that an inner plenum is formed between the…

MULTI-PLANE HEATER FOR SEMICONDUCTOR SUBSTRATE SUPPORT

Granted: June 15, 2017
Application Number: 20170167790
A semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a multi-plane heater such as a heater array comprising thermal control elements operable to tune a spatial temperature profile on the semiconductor substrate. The multi-plane heater includes at least one pair of vertically offset heating elements connected in series or parallel to control heating output in a heating zone on the substrate support. The thermal control elements…

Systems Comprising Silicon Coated Gas Supply Conduits and Methods for Applying Coatings

Granted: February 9, 2017
Application Number: 20170040147
In one embodiment, a plasma etching system may include a process gas source, a plasma processing chamber, and a gas supply conduit. A plasma can be formed from a process gas recipe in the plasma processing chamber. The gas supply conduit may include a corrosion resistant layered structure forming an inner recipe contacting surface and an outer environment contacting surface. The corrosion resistant layered structure may include a protective silicon layer, a passivated coupling layer and…

INTERFACE PASSIVATION LAYERS AND METHODS OF FABRICATING

Granted: November 24, 2016
Application Number: 20160343806
Methods for fabricating interface passivation layers in a circuit structure are provided. The method includes forming a silicon-germanium layer over a substrate, removing a native oxide layer from an upper surface of the silicon-germanium layer, and exposing the upper surface of the silicon-germanium layer to an ozone-containing solution, resulting in an interface passivation layer with a higher concentration of germanium-dioxide present than germanium-oxide. The resulting interface…

DEPOSITION APPARATUS INCLUDING EDGE PLENUM SHOWERHEAD ASSEMBLY

Granted: November 24, 2016
Application Number: 20160340781
A deposition apparatus for processing substrates includes a vacuum chamber including a processing zone in which a substrate may be processed. First and second gas sources are in fluid communication with the vacuum chamber. The first gas source is operable to supply a first gas into the vacuum chamber and the second gas source is operable to supply a second gas into the vacuum chamber. A showerhead assembly includes a face plate and back plate. The back plate includes a first gas inlet in…

HIGH TEMPERATURE SUBSTRATE PEDESTAL MODULE AND COMPONENTS THEREOF

Granted: November 17, 2016
Application Number: 20160336213
A semiconductor substrate processing apparatus comprises a vacuum chamber in which a semiconductor substrate may be processed, a showerhead module through which process gas from a process gas source is supplied to a processing zone of the vacuum chamber, and a substrate pedestal module. The substrate pedestal module includes a platen, a stem having a side wall defining a cylindrical interior region thereof, a lower surface, and an upper end that supports the platen, and an adapter having…

SUBSTRATE PEDESTAL MODULE INCLUDING BACKSIDE GAS DELIVERY TUBE AND METHOD OF MAKING

Granted: November 17, 2016
Application Number: 20160333475
A semiconductor substrate processing apparatus includes a vacuum chamber having a processing zone in which a semiconductor substrate may be processed, a process gas source in fluid communication with the vacuum chamber for supplying a process gas into the vacuum chamber, a showerhead module through which process gas from the process gas source is supplied to the processing zone of the vacuum chamber, and a substrate pedestal module. The substrate pedestal module includes a platen made of…

SUBSTRATE SUPPORT WITH THERMAL ZONES FOR SEMICONDUCTOR PROCESSING

Granted: October 13, 2016
Application Number: 20160300741
A substrate support in a semiconductor plasma processing apparatus, comprises multiple independently controllable thermal zones arranged in a scalable multiplexing layout, and electronics to independently control and power the thermal zones. A substrate support in which the substrate support is incorporated includes an electrostatic clamping electrode and a temperature controlled base plate. Methods for manufacturing the substrate support include bonding together ceramic or polymer…

METHOD AND APPARATUS TO MINIMIZE SEAM EFFECT DURING TEOS OXIDE FILM DEPOSITION

Granted: September 8, 2016
Application Number: 20160260603
A method of minimizing a seam effect of a deposited TEOS oxide film during a trench filling process performed on a semiconductor substrate in a semiconductor substrate plasma processing apparatus comprises supporting a semiconductor substrate on a pedestal in a vacuum chamber thereof. Process gas including TEOS, an oxidant, and argon is flowed through a face plate of a showerhead assembly into a processing region of the vacuum chamber. RF energy energizes the process gas into a plasma…

Gas Distribution System For Ceramic Showerhead of Plasma Etch Reactor

Granted: July 28, 2016
Application Number: 20160217977
A gas delivery system for a ceramic showerhead includes gas connection blocks and a gas ring, the gas connection blocks mounted on the gas ring such that gas outlets in the blocks deliver process gas to gas inlets in an outer periphery of the showerhead. The gas ring includes a bottom ring with channels therein and a welded cover plate enclosing the channels. The gas ring can include a first channel extending ½ the length of the gas ring, two second channels connected at midpoints…

APPARATUS AND METHOD FOR DYNAMIC CONTROL OF PLATED UNIFORMITY WITH THE USE OF REMOTE ELECTRIC CURRENT

Granted: July 28, 2016
Application Number: 20160215408
An apparatus for electroplating metal on a substrate while controlling plating uniformity includes in one aspect: a plating chamber having anolyte and catholyte compartments separated by a membrane; a primary anode positioned in the anolyte compartment; an ionically resistive ionically permeable element positioned between the membrane and a substrate in the catholyte compartment; and a secondary electrode configured to donate and/or divert plating current to and/or from the substrate,…

SYSTEM AND METHOD FOR MONITORING TEMPERATURES OF AND CONTROLLING MULTIPLEXED HEATER ARRAY

Granted: July 14, 2016
Application Number: 20160205725
A system for measuring temperatures of and controlling a multi-zone heating plate in a substrate support assembly used to support a semiconductor substrate in a semiconductor processing includes a current measurement device and switching arrangements. A first switching arrangement connects power return lines selectively to an electrical ground, a voltage supply or an electrically isolated terminal, independent of the other power return lines. A second switching arrangement connects power…